CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL UART

搜索资源列表

  1. uart

    0下载:
  2. fpga内嵌入双向串行通讯口 传输波特率可变 可通过查询方式确定发送接收状态 内置256字节发送接收缓冲区 -serial communication
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:4869
    • 提供者:tianzhijun
  1. UARTcode

    0下载:
  2. 串口UART通用异步接收/发送器的VHDL 源代码-Serial UART code
  3. 所属分类:Com Port

    • 发布日期:2017-04-02
    • 文件大小:17251
    • 提供者:liuyinqiang
  1. uart

    0下载:
  2. i like verilog VHDL and system Verilog
  3. 所属分类:Kill Virus

    • 发布日期:2017-03-30
    • 文件大小:4143
    • 提供者:hoi
  1. uart16450

    1下载:
  2. uart 16450合集,xilin altera lattice-collection of uart controller 16450
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-11
    • 文件大小:822763
    • 提供者:jhv
  1. 87361001Uart2

    0下载:
  2. VHDL语言编写的UART串口通讯,2400Hz的波特率时钟-VHDL language UART serial communication, 2400Hz clock of baud rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:43732
    • 提供者:火箭强
  1. cp_uart_6

    0下载:
  2. 用CPLD驱动UART转USB芯片CP2102的verilog代码,与PC通信 包括CP2102的配置 驱动等-Using CPLD to drive the USB-UART CP2102 interface. verilog code, then communicate with PC, including the configuration and drivers, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:789
    • 提供者:vicky
  1. s7enable_send0x55_UART_9600

    0下载:
  2. 最简单的UART发送程序,vhdl编写,系统时钟40M,波特率9600,外Load有效(一个高脉冲)即向PC发送一个字节0X-UART to send the simplest procedures, vhdl prepared, the system clock 40M, baud rate 9600, outside the Load effective (a high-pulse) to the PC sends a byte 0X55
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:451135
    • 提供者:wangxue
  1. UART2_vhdl

    0下载:
  2. 这是VHDL语言的uart串口驱动 感觉很难写的 但是这个可以移植的 比较好-This is the VHDL language serial uart driver feel it is very difficult but this can be written by transplantation is better huh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3160
    • 提供者:hanbin
  1. uart_Transmitter

    0下载:
  2. 自己写的一个uart驱动代码,是一个工程文件,适合初学者,里面的状态机的写法十分值得学习-To write a uart driver code, is a project file, suitable for beginners, which the wording of the state machine is worth learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:342090
    • 提供者:JackChen
  1. URAT_VHDL

    0下载:
  2. URAT VHDL程序与仿真,包括顶层程序与仿真,波特率发生器VHDL程序, UART发送器程序与仿真,UART接收器程序与仿真-URAT VHDL procedures and simulation, including the top-level procedures and simulation, VHDL program baud rate generator, UART transmitter and simulation program, UART receiver and simu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:32302
    • 提供者:葛棋棋
  1. xapp345_verilog

    0下载:
  2. IrDA & UART Design (Verilog)
  3. 所属分类:Com Port

    • 发布日期:2017-04-07
    • 文件大小:10730
    • 提供者:skif-as
  1. uart

    0下载:
  2. this a verilog code about serial transmit receive.-this is a verilog code about serial transmit receive.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4081
    • 提供者:tri
  1. uart_test_ok_921

    0下载:
  2. 一个简单的uart 源码,接收一个字符并发回,通过测试,可以使用的,输入时钟12mhz,发送速率96-A simple uart source code, receiving a character and send back through the test, can be used, input clock 12mhz, sending rate 9600
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:379415
    • 提供者:wmd
  1. uart

    0下载:
  2. 用VHDL编写的RS232串口的通信程序-Written with the VHDL serial RS232 communication program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:198242
    • 提供者:chenye
  1. uart_0910

    0下载:
  2. uart串口传输的verilog RTL级源码,已通过仿真验证。文件主要包含发送、接受位处理,发送、接受字节帧处理,对学习串口通信的朋友很有帮助-uart serial transmission verilog RTL-level source code has been verified by simulation. File mainly contains the send, receive digital processing, sending, receiving bytes of fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7208
    • 提供者:李鹏
  1. URAT_VHDL_CODE

    0下载:
  2. altera公司的fpga源代码,用VHDL编写的uart程序。-altera' s fpga source code, uart program written using VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:32303
    • 提供者:张东
  1. fpga

    0下载:
  2. fpga数字电子系统设计与开发 ISE I2C UART usb vga -ISE I2C UART usb vga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1559238
    • 提供者:xiong
  1. lab4

    0下载:
  2. vhdl uart lab ENTITY uart IS PORT ( SIGNAL clock,reset : IN STD_LOGIC SIGNAL sdatain : IN STD_LOGIC SIGNAL oready, sdataout : INOUT STD_LOGIC SIGNAL iready : INOUT STD_LOGIC SIGNAL charin : INOUT STD_L
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:10624
    • 提供者:work
  1. UARTipcore

    0下载:
  2. 这是一个关于UART的IP核,用VHDL写的。经过本人的鉴证,非常实用并且写的非常好。-This is one of the IP core on the UART, using VHDL written. After my verification, very practical and very well written.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:22281
    • 提供者:11
  1. vhdl

    0下载:
  2. VHDL语言的UART串行接口芯片设计程序清单 附录1 数据接收据器的VHDL语言描述清单-vhdl serial
  3. 所属分类:Com Port

    • 发布日期:2017-04-09
    • 文件大小:1785379
    • 提供者:龙永
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 23 »
搜珍网 www.dssz.com